IMPULSE® Series

Integrated metrology platform powered by industry leading optics and machine learning solutions, combining high sensitivity with high throughput for CMP, deposition, etch and litho applications.

Product Overview

IMPULSE V System

With tighter wafer-to-wafer and within-wafer uniformity tolerances, integrated metrology systems are in use across various semiconductor processing steps. Based on demonstrated high-resolution optical technology, the IMPULSE V system provides higher sensitivity to thin film residue measurements during the CMP process. The IMPULSE platform boasts the industry’s most reliable hardware with best-in-class reliability and productivity metrics. This next generation IMPULSE V system extends this reliability at a significantly higher throughput, staying in sync with the need for higher sampling, in-die / on-device and wafer edge measurements. The advanced optics and specifically designed measurement chamber offer a significant improvement in signal to noise ratio (SNR), achieving a >2X improvement in precision over the previous generation of integrated metrology. On-board machine learning uses the additional SNR to complete this powerful package, enabling a faster time-to-solution as well as closing the gap on layers that were previously unmeasurable by the existing toolset.

The IMPULSE V system and the Atlas V system form a comprehensive thin film and optical critical dimension measurement solution for semiconductor fabs, enabling higher yield via feed forward of information with a seamless exchange of recipes and data.


IMPULSE V System
IMPULSE V System

IMPULSE+ System
IMPULSE+ System

IMPULSE+ System

An integrated metrology standard, the IMPULSE+ system offers maximum sensitivity and accuracy to CMP process excursions and enables device makers to establish APC control solutions with high-accuracy feedback. With OCD solution software enabling direct measurements within device and active areas, users are able to monitor minor process excursions and optimize their processes for higher yields.

The IMPULSE+ system works in conjunction with the Atlas and OCD software analysis solutions, enabling cross-module process optimization and comprehensive fab-wide process control. The IMPULSE+ system is widely adopted across key steps in DRAM, 3D-NAND, CMOS image sensor and foundry/logic device manufacturing.


You Have
a Challenge? We Love
a Challenge.

Let's Talk