JetStep® X500 System

The JetStep X500 system is designed to provide AICS and OSAT manufacturers with a high-volume manufacturing lithography solution for heterogeneous integration. The JetStep X500 system exposes panel-type substrates made of CCL, FR4, composite, glass or other materials.

JetStep® X500 System

Product Overview

The JetStep X500 panel lithography system is optimized for high volume manufacturing of high-end AICS and other advanced packaging panel applications. As we approach the limits of Moore’s law, the JetStep X500 system addresses the need for extremely large packages integrating multi-node chips, or “chiplets,” enhancing functionality, speed, I/O count and reducing power consumption for server farms, AI, and mobile applications. The JetStep X500 system features the industry’s largest exposure field , fine line RDL resolution with large depth of focus (DOF), excellent overlay accuracy, and automatic magnification compensation with independent x and y magnification adjustment. The JetStep X500 system handles a large range of substrate thicknesses with high levels of warp, offering unique imaging parameter control to compensate for panel distortion. Additionally, it can run highly warped substrates with an edge clamping option and provides real-time autofocus at every exposure site to compensate for challenging topography.

Applications

  • AICS
  • Fan-out panel level packaging (FOPLP)
  • Large package formats, exposed without stitching
  • Large interposers
  • Redistribution layer (RDL) / underbump metallization (UBM)
  • Vias in photo imageable dielectric (PID)

Featured Markets

deco-bg-1 deco-bg-2
Related Products
View all
jetsetps3500_feat

JetStep® S3500 System

Advanced packaging lithography system designed for fan-out panel level packaging, accommodating substrates up to 720mm x 600mm
View Product
stepfast_feat

StepFAST® Software

An advanced feed-forward lithography software solution for fan-out panel level packaging that enhances yield and throughput by correcting die placement errors through external measurements, predictive analytics and adaptive shot control.
View Product
Related Insights & Resources
View all
Yield Prediction Technology

Yield Prediction Technology: A Game Changer for Cutting Costs and Reducing Ramp Time in FOPLP Lithography

Learn more
Overlay Optimization in Advanced IC Sub

Overlay Optimization In Advanced IC Substrates

Learn more
roadmap

The Innovations Driving the Advanced Packaging Roadmap, Part 1

Learn more
Default product image

Onto Innovation’s PACE Partners Talk About Collaborating on Panel-Level Packaging

Learn more
20240930_Onto_00461

Picking up the Pace of Panel-level Advanced Packaging at Onto Innovation

Learn more
Picture1_240318_155910

How AI is Helping Optimize AI Chip Production

Learn more
glass-substrate_240212_181245

The Great Lithography Debate: Copper Clad Laminate or Glass Substrates?

Learn more
chip-sacle-review

Optimizing Advanced IC Substrates (AICS) for PLP

Learn more
Default product image

Total Overlay With Multiple RDLs with Keith Best

Learn more
glass-substrate

The Glass Substrate Question: When Will It Replace Copper Clad Laminate?

Learn more
overlay

Addressing Copper Clad Laminate Processing Distortion Using Overlay Corrections

Learn more
Address-image

Addressing Total Overlay Drift In Advanced IC Substrate (AICS) Packaging

Learn more
Correcting-Overlay

Heterogeneous Integration: Correcting Overlay Errors On Advanced Integrated Circuit Substrates (AICS)

Learn more
Het-Int

Heterogeneous Integration: Exposing Large Panels with Fewer Shots

Learn more
SC-Sept

‘XL’ Fine Resolution Large Field Lithography Dramatically Cuts FOPLP Pattern Distortion

Learn more
ECTC-2022-Image

Analysis of Pattern Distortion by Panel Deformation and Addressing it by Using Extremely Large Exposure Field Fine-Resolution Lithography

Learn more
fig-5_220126_110608

Outlier Control Technology and Feedforward Lithography Can Boost FOPLP Yield

Learn more
fine-res-litho

Large-field, Fine-resolution Lithography Enables Next-generation Panel-level Packaging

Learn more
Extremely-large

Extremely Large Exposure Field With Fine Resolution Lithography Technology To Enable Next Generation Panel Level Advanced Packaging

Learn more
Picture1_210722_090506

Advanced Outlier Die Control Technology in Fan-Out Panel Level Packaging Using Feedforward Lithography

Learn more
overlay-results

Adaptive Shot Technology To Address Severe Lithography Challenges For Advanced FOPLP

Learn more

Do you have a JetStep X500 question? Let’s talk!

As your partner for innovative solutions, we’re always here for you.

Discover how our cutting-edge semiconductor solutions are engineered to meet your most complex challenges: delivering performance, reliability and innovation where it matters most.

Let’s Talk

"*" indicates required fields

This field is for validation purposes and should be left unchanged.

JetStep® S3500 System

The Aspect metrology system is a revolutionary optical platform that is designed for the current and future challenges of advanced 3D NAND devices.

JetStep® S3500 System

Product Overview

The JetStep S3500 panel lithography system is specifically designed for advanced packaging panel production. As fan-out packages increase in size and complexity, requiring panel substrates instead of wafers, the JetStep S3500 system addresses these challenges with advanced features. It handles die shift caused by placement accuracy errors, CTE mismatch and panel warpage. The system incorporates a large exposure field (59.4 x 59.4mm) with resolution capability to 2/2 L/S and options for increased resolution to 1/1. In addition, it supports multiple exposure wavelengths, ideal for process development with new photosensitive polymers. Application-specific options include warped panel handling, “on-the-fly” optical focus, and die shift correction (StepFAST Solution), helping to ensure precise and reliable panel-level packaging.

Applications

  • Fan-out panel level packaging (FOPLP)
  • Interposers
  • Photo imageable Dielectric (PID) vias
  • Redistribution lines (RDL) / Under bump metallization (UBM)
  • Non-standard substrates

Featured Markets

Related Products
View all
jetstepx500_feat

JetStep® X500 System

Designed for advanced integrated circuit substrates (AICS) and other advanced packaging manufacturing applications, incorporating a 250mm x 250mm large field exposure area achieving 3μm L/S resolution with a large depth of focus.
View Product
stepfast_feat

StepFAST® Software

An advanced feed-forward lithography software solution for fan-out panel level packaging that enhances yield and throughput by correcting die placement errors through external measurements, predictive analytics and adaptive shot control.
View Product
Related Insights & Resources
View all
glass-substrate_240212_181245

The Great Lithography Debate: Copper Clad Laminate or Glass Substrates?

Learn more
overlay-results

Adaptive Shot Technology To Address Severe Lithography Challenges For Advanced FOPLP

Learn more

Do you have a JetStep S3500 question? Let’s talk!

As your partner for innovative solutions, we’re always here for you.

Discover how our cutting-edge semiconductor solutions are engineered to meet your most complex challenges: delivering performance, reliability and innovation where it matters most.

Let’s Talk

"*" indicates required fields

This field is for validation purposes and should be left unchanged.

Discover® AI Software

Discover AI software leverages high-quality data input to provide valuable insights into processes and actionable predictions for process, yield and efficiency improvements through integration with the Discover data platform.

Product Overview

Discover AI software is a disruptive technology that reshapes the way we approach manufacturing line control and yield analysis. It leverages the latest in machine learning and artificial intelligence to identify actionable improvements and connections in data that a human could not. The software’s optimization engine adds a powerful machine learning analytical method to an already sophisticated toolbox of process improvement applications.

By analyzing any set of conceivable inputs and outputs, Discover AI software identifies relationships and interactions that lead to positive operational changes. Easily understood visuals empower even casual users to understand what is important before making adjustments.

Discover AI software evaluates multiple models and suggests the optimal one for the data. Using machine learning, it examines interactions between in-process inputs and results for any semiconductor product family and recommends changes to in-process targets. Licensed as a service, it enables users to leverage an on-demand infrastructure to apply easily understood workflows for complex analytics without the overhead.

Applications

  • Yield Optimization
  • Virtual Metrology
  • Process prediction & tuning
  • Embedded AI – process digital twin
Related Insights & Resources
View all
Default product image

Getting The Biggest ROI On Your Digital Twin

Learn more
Default product image

Using Advanced Analytics To Meet ESG Goals

Learn more
using-machine-learning

Using Machine Learning to Increase Yield and Lower Packaging Costs

Learn more
Lightbulb-with-connecting-dots-scaled

The Big Squeeze – Why OSATs Need to Work Smarter

Learn more

Do you have a Discover AI software question? Let’s talk!

As your partner for innovative solutions, we’re always here for you.

Discover how our cutting-edge semiconductor solutions are engineered to meet your most complex challenges: delivering performance, reliability and innovation where it matters most.

Let’s Talk

"*" indicates required fields

This field is for validation purposes and should be left unchanged.

IVS 380 System

The IVS 380 System delivers overlay, CD and z-height metrology for advanced packaging, power, compound semi and MEMS, offering world class performance and flexibility to accommodate substrates of different sizes and thickness without hardware changes.

IVS 380 System

Product Overview

The IVS 380 is an optical overlay, CD & z-height metrology system designed for high volume manufacturing, with SMIF (200mm substrate) or FOUP (300mm substrate) load ports compatibility. It handles various substrates for advanced packaging, including Si, glass and CCL, and accommodates sizes of 200mm and 300mm.

Building on the IVS family’s 40 years of experience in CMOS, MEMS and compound semiconductor applications, the IVS 380 system possesses the versatility to tackle overlay, CD and z-height measurements for diverse substrates and layers. It measures critical dimensions in the xy plane and the vertical z-heights of features like RDL metal lines, posts and bumps. The optics enable focus on mostly transparent materials such as photoresists and rough surfaces such as electroplated copper.

Applications

  • Critical Dimension
  • On Product Overlay
  • Specialty

3D Demo

Enter your information below and we’ll send you a unique passcode to view our IVS 3D Demo.

Related Products
View all
ivs280_feat

IVS 280 System

Overlay, CD and height metrology for 100mm-200mm wafers in the compound semiconductor, power devices, RF, MEMS and LED markets.
View Product

Do you have an IVS 380 system question? Let’s talk!

As your partner for innovative solutions, we’re always here for you.

Discover how our cutting-edge semiconductor solutions are engineered to meet your most complex challenges: delivering performance, reliability and innovation where it matters most.

Let’s Talk

"*" indicates required fields

This field is for validation purposes and should be left unchanged.

Iris™ S System

The Iris S system is a versatile platform solution for the advanced packaging and specialty market, supporting 150mm, 200mm and 300mm wafers. It provides thin and thick film, OCD and wafer bow and film stress measurements.

Iris™ S System

Product Overview

The Iris S system delivers films metrology and advanced OCD capabilities for the specialty and advanced packaging segments. With a small footprint, it handles 150mm, 200mm, and 300mm wafer sizes, including thin, thick and bonded wafers. The system supports various materials such as Si, SiC, GaN and glass, addressing device-level challenges impacting performance and yield. Leveraging Onto’s Ai Diffract software, the Iris S system supports the most difficult on-wafer high value problems.

The Iris S system includes a dual-arm robot, high-precision stage, advanced pattern recognition, and high-speed focus for accurate positioning at high throughput. The dual channel optical architecture offers oblique incidence Mueller Matrix spectroscopic ellipsometry (MMSE) and normal incidence spectroscopic reflectometry (SR) in a broad wavelength range from UV to IR.

Based on Windows 10 OS and a 64-bit architecture, the Ai Diffract™ software interface and automation comply with SEMI standards. Onto’s model guided machine learning enables fast, flexible and robust film and OCD recipe setup.

Applications

  • Thin, thick and ultra thick film thickness
  • Trench/OCD metrology capabilities
  • Optical properties and composition solutions
  • Configurable for 6”, 8” and 12” wafer sizes
  • High warped wafer handling and stress measurements
  • Si, SiC, GaN and glass wafer handling
Related Products
View all
irisg2_feat

Iris™ G2 System

A high throughput common and critical thin film optical system for advanced and mature node devices.
View Product
impulse v_feat

IMPULSE® V System

Advanced high throughput integrated metrology system with AI-driven machine learning option. The system offers maximum sensitivity and accuracy to CMP process excursions and enables process engineers to establish APC control with high-accuracy feedback.
View Product
impulse+_feat

IMPULSE®+ System

Integrated metrology system offering maximum sensitivity and accuracy to CMP process excursions and enabling process engineers to establish APC control with high-accuracy feedback.
View Product
atlasv_feat

Atlas® V System

High performance OCD and thin film metrology for advanced GAA and memory devices.
View Product
atlasiii_feat

Atlas® III+ System

Versatile OCD and thin film metrology for mature and mainstream nodes.
View Product
Related Insights & Resources
View all
feb-2024-blog

Using OCD To Measure Trench Structures in SiC Power Devices

Learn more
adressing-trenches

Addressing Trench Structures and Larger Wafers for Power Devices

Learn more
Wei-Zhao-Star

A Star is Born: Gallium nitride and the coming age of compound semiconductors

Learn more

Do you have an Iris S system question? Let’s talk!

As your partner for innovative solutions, we’re always here for you.

Discover how our cutting-edge semiconductor solutions are engineered to meet your most complex challenges: delivering performance, reliability and innovation where it matters most.

Let’s Talk

"*" indicates required fields

This field is for validation purposes and should be left unchanged.

Echo™ System

The Echo system utilizes picosecond ultrasonics to provide accurate, in-line, on-product metrology and materials characterization for semiconductor processes requiring metal thickness metrology, implant monitoring or thermal conductivity characterization on product wafers.

Echo™ System

Product Overview

The Echo system is a comprehensive in-line metal film metrology tool designed for single and multi-layer metal film measurements in leading-edge logic, memory, advanced packaging and specialty semiconductor devices. Its innovative optics design extends the dynamic range for film thickness measurement from 50Å to 35µm on a single platform and offers extendibility to measure high aspect ratio advanced 3D NAND structures. The Expert Applications System (EASy™) software provides flexibility for developing user-defined algorithms to model complex multi-layer stacks.

The Echo system’s capabilities have been extended to include materials characterization. In addition to measuring the Young’s Modulus of low-k dielectric films in BEOL and amorphous carbon hard masks in 3D NAND, the Echo system includes proprietary electronics and algorithms for implant monitoring and thermal conductivity characterization. Its small spot size, combined with rapid measurements, enables full wafer mapping capabilities with 0.5mm edge exclusion, improving time to yield.

Applications

  • Gate metals, plug/contact, barrier/seed layers, top metal
  • RF electrode/IDT
  • Advanced packaging UBM, RDL
  • 3D NAND Hard mask
  • MEMS Poly/Ge
  • Low-k, ultra low-k film modulus, implant, thermal conductivity
Related Insights & Resources
View all
Default product image

Enabling In-Line Process Control for Hybrid Bonding Applications

Learn more
Process control of SICR thin film

Picosecond Ultrasonics: An Advanced Technology Utilized for Process Control of SiCr Thin Film Resistors

Learn more
Applications of Picosecond Laser Acoustics for Advanced Packaging

Applications of Picosecond Laser Acoustics for Advanced Packaging

Learn more
fig-1-pico

Using Picosecond Ultrasonic Technology for AI Packages, Part 2

Learn more
fig-2-front-end

Front-End Technologies Are The New Back-End Tools: Using Picosecond Ultrasonics Technology For AI Packages, Part 1

Learn more
semi-digest-april-2025

Advanced Interconnect Process Control with Picosecond Ultrasonic Technology for AI Device Packaging

Learn more
mask

Using Picosecond Ultrasonics To Measure Trench Structures In SiC Power Devices

Learn more
optimizing

Optimizing Metal Film Measurement on IGBT And MOSFET Power Devices with Picosecond Ultrasonic Technology

Learn more
Photoacoustics

Efficient pump-probe sampling with a single-cavity dual-comb laser: Application in ultrafast photoacoustics

Learn more
Default product image

Paving the Way for 5G: RF Filter Process Monitoring and Control Using Picosecond Ultrasonic Metrology

Learn more
White-Paper-2

Imaging of Overlay and Alignment Markers Under Opaque Layers Using Picosecond Laser Acoustic Measurements

Learn more
CSTIC-RF

Advantages of Picosecond Ultrasonic Technology for Advanced RF Metrology

Learn more
Metrology-for-RF

Full Metrology Solutions for Advanced RF with Picosecond Ultrasonic Metrology

Learn more
Metrology-for-3D-NAND

Monitoring Critical Process Steps in 3D NAND using Picosecond Ultrasonic Metrology with both Thickness and Sound Velocity Capabilities

Learn more

Do you have an Echo system question? Let’s talk!

As your partner for innovative solutions, we’re always here for you.

Discover how our cutting-edge semiconductor solutions are engineered to meet your most complex challenges: delivering performance, reliability and innovation where it matters most.

Let’s Talk

"*" indicates required fields

This field is for validation purposes and should be left unchanged.