Onto Innovation with LPKF Laser & Electronics SE

The drive for increased performance is enticing some advanced packaging manufacturers to transition from traditional organic substrates to glass core substrates, a switch that comes with numerous benefits. Compared to organic substrates, glass core offers superior mechanical strength, is better suited for large package sizes, provides improved electrical properties, and has the ability to meet new line/space requirements of 1.5µm and below in support of the dense interconnects for advanced logic nodes and high-performance packages.

Figure 1: Roadmap for organic and glass core substrates

 

Glass substrates, however, are not immediately poised to push aside organic substrates as the preferred material for advanced packaging substrates. Thanks to a host of innovations, organic substrates will remain viable for advanced packages. Regardless, many manufacturers are developing glass substrates now instead of waiting for organic substrates to reach their line/space endpoints.

To optimize this transition from organic to glass substrate, interconnect technologies are changing as well.

Through glass vias (TGVs) are the critical vertical electrical connections that pass through a glass substrate. They require ultra-precise processing, which leads to several obstacles which must be overcome. Glass is brittle, after all, and this creates handling challenges, along with many other potential issues across the fabrication process. Each step—from laser modification on the panel to wet etching, metallization and planarization—opens the process to a host of potential errors, including cracks, critical dimension variation, incomplete debris removal, voids, overfill, and over-polishing (Figure 2). Cracks, in particular, are problematic. A small crack early in the process has the potential to grow into a much larger, potentially “killer” defect later and affect the performance and reliability of the end product.

Figure 2. TGV Defect Examples (a) Missing TGV, (b) Incomplete TGV, (c) TGV crack after metallization.

These TGV process control challenges are not limited to cracks. The position accuracy of TGVs is vital for reliable electrical connections between the front and back of the glass substrate. Even slight misalignments can lead to signal integrity issues or device failure. In addition, the shape and size of the vias is another area of concern; as a result, the critical dimensions (CD) of these vias must be tightly controlled. The relationship between the top, bottom, and waist diameters of a TGV determines the profile of the via. Moreover, if the sidewall is too steep or reentrant (narrower at the bottom), it can affect the plating process, leading to incomplete metal-filled vias or voids, impacting the electrical signal performance and reliability of the final device.

Today, manufacturers are adopting a number of best practices to optimize the TGV process. On the incoming quality control front, proper process control includes identifying any defects on the surface or in the bulk of the incoming glass substrate and determining the thickness uniformity of the glass. Following each step in the TGV fabrication process, measuring critical dimensions after each process step is of imminent concern. Controlling for each of these is critical to maintaining the integrity of the final product and optimizing yields.

Figure 3: The TGV development process

In this article we will detail the entire TGV manufacturing process, starting from a bare glass panel and moving on to the fabrication of the via, TGV Cu plating, and TGV chemical mechanical planarization (Figure 3). As we discuss the process, we also will address the challenges manufacturers face at each step and expand on a unique ultra-fast laser drilling technique called laser-induced deep etching (LIDE®) that is used in the creation of vias. Afterward, we will move onto the process control solutions that enable manufacturers to reach and maintain high volume production.

TGV Manufacturing: Every Step Counts

The manufacturing of TGVs begins with a defect-free glass panel. Defects in an incoming glass panel will only compound as the TGV process moves forward. Even microscopic defects such as cracks, inclusions, scratches or surface particles can develop during manufacturing, leading to catastrophic failures. In addition, photoresist coating defects, monolayer organic residues, and variations in coating thickness can also lead to serious process control complications. Each of these issues are especially problematic in TGV manufacturing where precision and structural integrity are paramount.

Furthermore, thickness uniformity across the glass panel is key. Non-uniform thickness in a glass core substrate can significantly impact the fabrication and reliability of TGVs. As the thickness of the glass varies, the depth control during the via formation can become inconsistent, leading to incomplete or over-etched vias, increasing, for example, the variation of the waist diameter and affecting the performance of the final device. Another major issue: non-uniform substrates affect surface planarity, which is crucial for subsequent fabrication steps such as photolithography, solder bumping, and die bonding.

Following these steps, the panels undergo thorough cleaning using wet chemical and plasma-based methods to remove surface contaminants. However, this step presents several challenges. Particulate contamination, if not fully eliminated, can affect laser modification or interfere with the adhesion of subsequent layers. Additionally, any residual surface roughness can negatively impact downstream processes such as lithography and metallization, potentially compromising the fidelity of fine features.

The next step is the via formation stage. Various glass processing techniques have been used in the industry, including mechanical drilling, direct laser ablation, and lithography-based techniques with photosensitive glass followed by isotropic wet etching or deep reactive-ion etching (DRIE). A novel hybrid process utilizing laser exposure and selective wet chemical etching has been developed by LPKF Laser and Electronics SE: laser induced deep etching (LIDE).

Understanding the LIDE Technique for Via Formation

The LIDE technique is a two-step fabrication method designed to enable the high volume manufacturing of glass substrates for advanced semiconductor packaging through a combination of laser modification and selective wet chemical etching.

First, an ultrashort-pulsed laser is focused into the bulk of the glass, inducing a non-ablative modification of the material’s chemical and physical properties along a defined path. This highly localized modification, typically less than 3µm wide, spans the full thickness of the glass without removing material or introducing microcracks, thermal stress, or debris. Next, the glass panel is immersed in a chemical etchant, such as hydrofluoric acid or a hot alkaline solution. The laser-modified regions etch up to 100 times faster than the unmodified glass, enabling the formation of deep, narrow vias with smooth sidewalls and minimal tapering.

This approach allows for precise TGV formation with minimal particulate generation, no heat-affected zones, and highly uniform diameters (Figure 4). By avoiding melt ejection and suppressing microcracks, the technique helps to ensure mechanical integrity and cleanliness.

Figure 4. Glass panel with TGV. (Source: LPKF)

Post-via Processing: The Next Critical Steps

Following via formation, the substrate undergoes cleaning and surface conditioning. This includes wet chemical etching and plasma treatments to remove debris and prepare the surface for metallization. This step must be finely tuned to avoid thermal damage. Common challenges include managing heat input and debris ejection. Potential defects include microcracks from thermal stress and irregular via profiles complicating downstream metal deposition. Furthermore, the incomplete removal of debris can result in voids during the plating process, compromising the integrity of the via fill, while over-etching can damage the via walls or reduce the adhesion of subsequent layers, both of which can lead to reliability issues in the final device.

The seed layer deposition step follows. In this step a thin conductive layer, commonly Ti/Cu or Cr/Cu, is applied using sputtering or electroless plating. This layer serves as the foundation for electroplating. Challenges at this stage include achieving uniform coverage inside the high-aspect-ratio vias, which is critical for ensuring complete and reliable Cu fill. Poor surface preparation or contamination can also lead to adhesion failures, which may manifest as delamination or electrical discontinuities during device operation.

Via filling is then performed using electroplating to deposit Cu into the vias. This step must be carefully controlled to avoid common defects such as voids or seams within the Cu fill, which can compromise electrical conductivity and mechanical strength. Overplating is another concern, as it can create surface topography issues that complicate subsequent planarization and patterning steps.

Once the vias are filled, surface planarization is carried out using chemical mechanical polishing (CMP). This step removes excess Cu and the seed layer to create a flat surface. However, CMP can introduce its own set of challenges. Excessive dishing or erosion of Cu features may occur, especially if the process is not uniform across the panel. Non-uniform planarization can lead to variations in layer thickness, which may affect the performance and reliability of the final device.

Redistribution layer (RDL) formation follows. This involves photolithography, metal deposition, and etching to create the necessary interconnect patterns on the sides of the glass core. This step is highly sensitive to alignment and patterning accuracy. Misalignment with the underlying vias can result in open circuits or shorts, while defects in the patterning process can lead to electrical failures or reduced yield.

The process nears conclusion with the final singulation of the individual dies. Residual contamination, such as ionic residues, can affect long-term reliability, especially in high-performance applications. Additionally, the brittle nature of glass makes it prone to cracking during singulation, which can result in yield loss or latent reliability issues in the field.

Process Control Solutions From Start to Finish

Before the TGV manufacturing process begins, it is important to make sure the glass panel is free of inclusion defects or cracks. A laser-based scanning and optics system designed for transparent, semi-transparent, and opaque substrates, offering sub-nanometer sensitivity and the capability of all-surface inspection, can be employed to detect surface and edge defects, including particles, scratches, pits, stains, and chips, as well as monolayer organic residues. By using multiple channel inspection modes—including polarization, slope, bright field, and dark field—manufacturers can achieve sub-nanometer inspection sensitivity. In addition to inspecting the bare glass panel, it is also important to measure the thickness of the glass before starting the TGV process. Thickness uniformity across the glass panel is key.

While inspecting the glass and measuring glass thickness before manufacturing begins is of considerable importance, there are a number of specific process steps throughout the TGV fabrication and metallization process where CD metrology and defect inspection are vital. After the TGV formation step, post-process cleaning is often required to remove debris. At this step, sub-micron automatic optical inspection is used to inspect for residual particles, microcracks, or surface anomalies that can compromise subsequent metal deposition.

Besides defect detection, manufacturers need to measure CD at the top of the via, the waist of the via, and bottom of the via, along with the via’s positional accuracy relative to the design. A high-resolution, high-throughput optical panel inspection and metrology system can be used to measure these parameters for every single TGV on the panel, enabling precise monitoring of the laser and etching process. In addition, the ability to detect alternation in laser modification, incomplete etching, microcracks along individual TGVs, larger cracks between multiple TGVs, and dimples and dents on the glass surface are crucial for process optimization.

In the metallization and planarization step, inspection systems can continue to monitor for defects such as glass cracks and residues. However, there is also an additional need for 3D metrology measurements to monitor over/under plating, excess/insufficient polishing, and surface roughness, as each of these could affect overall electrical performance. An automated inspection system with integrated 3D metrology capabilities can be the preferred all-in-one solution addressing these process control requirements.

Figure 5. TGV CD distribution and analysis heat map generated by Onto Innovation’s
Discover® software.

Finally, in the inspection and yield analysis stage, the integrated use of sub-micron inspection tools and yield management software is ideally suited to support high-throughput inspection and advanced data analytics. With millions of TGVs on a panel, the ability to analyze a large quantity of inspection and metrology data within a short time period is crucial. The use of yield management software can shorten the time for analysis, identify systematic defects, and correlate process parameters with yield outcomes (Figure 5). Furthermore, manufacturers can overcome key challenges and achieve higher efficiency and yield in advanced packaging applications by automating defect classification, integrating data across systems, and enabling real-time feedback. By eliminating the need for manual review and providing consensus-based decisions, automated defect classification software can be used to enable engineers to quickly identify root causes and assess die-level quality. In addition, a robust feedback loop in which defect data is fed into analytics software allows manufacturers to make real-time process adjustments across the entire process, from the start of the process to the end.

Figure 6: Full panel process monitoring includes all-surface contamination and defectivity inspection for incoming glass panel (PrimaScan™ P system) and metrology and inspection of TGVs and other defects (Firefly® system). Yield management software accelerates analysis.

Together, these solutions form a comprehensive ecosystem that supports the total TGV development process (Figure 6), enabling manufacturers to overcome key challenges and achieve higher efficiency and yield in advanced packaging applications.

Conclusion

The adoption of glass core for IC substrate is currently at the starting point of what could be considerable market growth. By 2030, glass core substrate revenue is projected to grow to $275 million, according to best case scenario results from the Yole Group. With the right tools on hand, manufacturers will be equipped to meet the rising demand for glass core substrates.

However, unlocking the full potential of glass core substrates and TGVs are not just about having tools; it is about using them in concert to build a process that is robust, repeatable, and yield optimized. As the adoption of glass as a substrate accelerates, manufacturers that invest in comprehensive process insight will be the ones that lead.

Monita Pau is Strategic and Product Marketing Director, Advanced Packaging, at Onto Innovation.

You Have
a Challenge? Let’s talk.

We’d love to connect with you.

Looking to learn more about our innovative solutions and capabilities? Our team of experts is ready to assist you. Reach out today and let’s starts a conversation about how we can help you achieve your goals.

Let’s Talk

"*" indicates required fields

This field is for validation purposes and should be left unchanged.

Atlas® G6 System

The Atlas G6 system is an OCD and thin film metrology tool engineered for the most advanced logic and memory devices. Designed to meet the demands of next-generation AI applications and beyond, it delivers enhanced optics, AI-driven recipe development, and tighter tool matching for superior process control.

Atlas-G6-NEWBRAND

Product Overview

As semiconductor manufacturers push into next-generation GAA nodes and next-gen HBM and vertical DRAM architectures, process control requirements are tightening. Smaller nanosheet structures and denser DRAM cells demand higher measurement precision, faster recipe development, and tighter tool-to-tool matching.

The Atlas® G6 system is engineered to meet these challenges with a new optics design that improves signal-to-noise ratio, spectral stability, and measurement precision. Enhanced software algorithms and data management tools deliver better fleet-wide spectra matching, while a new data channel and next-generation model-guided machine learning in Ai Diffract™ software enable faster, more robust recipe development. A smaller optical spot size ensures accurate measurements on today’s most compact DRAM structures.

Fully integrated with Onto Innovation’s Discover® ecosystem, the Atlas G6 system empowers fabs with predictive process control and smart manufacturing capabilities—accelerating yield and time to market for the industry’s most advanced devices.

Applications

  • OCD for litho, etch, CVD & CMP process in all device segments
  • Local variation
  • Asymmetry and tilt
  • Common and critical films
  • Stress & wafer warpage
Related Products
View all
impulse v_feat

IMPULSE® V System

Advanced high throughput integrated metrology system with AI-driven machine learning option. The system offers maximum sensitivity and accuracy to CMP process excursions and enables process engineers to establish APC control with high-accuracy feedback.
View Product
impulse+_feat

IMPULSE®+ System

Integrated metrology system offering maximum sensitivity and accuracy to CMP process excursions and enabling process engineers to establish APC control with high-accuracy feedback.
View Product
aspect_feat

Aspect® System

Advanced infrared optical critical dimension (IRCD) metrology system for high aspect ratio structures in 3D NAND, 2D & 3D DRAM, CIS and power devices.
View Product
ocdsolutions_aidiffract_feat

OCD Solutions

A suite of OCD modeling software and computing hardware offering comprehensive capabilities for inline metrology, offline recipe creation and fleet management.
View Product
Related Insights & Resources
View all

No items found.

Do you have an Atlas G6 system question? Let’s talk!

As your partner for innovative solutions, we’re always here for you.

Discover how our cutting-edge semiconductor solutions are engineered to meet your most complex challenges: delivering performance, reliability and innovation where it matters most.

Let’s Talk

"*" indicates required fields

This field is for validation purposes and should be left unchanged.

The demand for high bandwidth memory (HBM) is accelerating across the semiconductor industry, driven by boundary-pushing artificial intelligence, high-performance computing, and advanced graphics. These technologies require access to vast datasets, which in turn increases the need for memory solutions that combine speed, density, and power efficiency. HBM meets these demands by vertically stacking memory dies and linking them with ultra-fast interconnects.

With data rates rising, the need for increased output contact pad density is needed. To address this, bump technologies are being pushed beyond what was previously thought to be their physical and performance limits. As it stands today, some memory designers are innovating their way to bump sizes below 10µm in high volume manufacturing. Be that as may, scaling bump height to 2μm will be considerably challenging, leading some to explore hybrid bonding as an interconnect solution.

Hybrid bonding enables finer interconnect pitches of less than 10μm, allowing for more I/O terminals in a smaller area. This increased density translates directly into higher bandwidth and improved overall performance.

Traditional bump-based stacking introduces gaps of about 30μm between dies, while hybrid bonding offers direct Cu-to-Cu connections. By achieving near-zero spacing between dies, hybrid bonding significantly reduces overall package thickness and offers lower resistance and better thermal conductivity than bump-based methods. The result: improved signal integrity, reduced power consumption, and enhanced heat dissipation, each of which are critical for HBM.

Finally, hybrid bonding supports several configurations: wafer-to-wafer, die-to-wafer, and die-to-die, offering flexibility in manufacturing and integration. This adaptability is vital for scaling HBM technologies across different applications and performance tiers.

Of course, hybrid bonding offers manufacturers its own set of challenges—increased sensitivity to particles and organic residues, lower yields and introduction of more costly process and process control steps—making the decision to stick to the tried-and-true microbump technology over the emerging, innovation a debate worth having.

In this two-part blog series, we will compare these two interconnect solutions and discuss the challenges they face (Figure 1).

Figure 1: Interconnect challenges in microbump and hybrid bonding technologies.

 

Head to Head: Microbumps and Hybrid Bonding

Bumps have several advantages over hybrid bonding. As a mature technology, they are widely used and well-understood in the industry. They are also compatible with existing flip-chip and underfill processes, and are scalable for moderate density. In addition, the cost of bump technologies is lower than hybrid bonding technologies.

However, microbumps have a number of disadvantages compared to hybrid bonding. The most significant of which are the pitch limitations of bump technologies. Microbumps struggle at pitches below 10µm due to challenges in plating uniformity and solder reflow. Bumps also require underfill, which can introduce stress and complicate thermal management.

Limitations aside, bump technology continues to evolve. Leading suppliers of bump plating systems project a continued downscaling of bump dimensions, with diameters decreasing to the 5µm to 4µm range and heights dropping as low as 2µm to 1µm. At a 10µm pitch, the lateral footprint remains sufficient to support the high-density I/O requirements of advanced memory architectures. Concurrently, the reduced bump height enables vertical integration of up to 16 stacked HBM dies within the 775µm maximum package height defined by Joint Electron Device Engineering Council (JEDEC) standards. Consequently, bump interconnects remain a viable and scalable solution for next-generation HBM, and major manufacturers are maintaining substantial R&D investments in bump technology.

Hybrid bonding, while promising, presents its own set of challenges. Chief among them, hybrid bonding is especially sensitive to particles and organic residues; for example, even 1µm particles can cause defects. These residues can prevent proper contact, trap gases or moisture, and lead to void formation during bonding. Voids can cause delamination or incomplete bonding, reducing mechanical integrity and electrical continuity. Hybrid bonding also is more expensive than traditional bump technologies and may require manufacturers to purchase new equipment and adopt new process flows before moving away from bump technology.

Conclusion

As demand for HBM intensifies, the industry stands at a crossroads in interconnect technology. While microbumps have evolved to support increasingly dense and tall HBM stacks, they face several obstacles. Hybrid bonding offers a compelling alternative, but not without its own hurdles. Ultimately, both technologies are advancing in parallel, each with unique strengths and limitations.

Regardless of which interconnect technology is chosen, a comprehensive suite of interconnect solutions will be needed to address the obstacles manufacturers of HBM devices face. In the second in this two-part series, we will explore a number of these solutions that, when combined, tackle the biggest challenges facing interconnects in HBM.

Biography

Damon Tsai joined Onto Innovation in 2018 and has extensive experience in inspection and metrology, with a specialized focus on semiconductor FEOL, advanced packaging, OSAT, and specialty markets like RF, Power, and CIS. He currently serves as the Senior Director of Product Marketing, Inspection.

Woo Young Han joined Onto Innovation in 2000 and is currently Product Marketing Director, Inspection. He holds an Electrical Engineering degree from University of Toronto.

Tim Kryman is Product Marketing Senior Director, Metrology and Inspection. Tim has been with Onto Innovation for more than 20 years and holds a BS in Accounting and Information Systems from Lock Haven University and an MBA from DeSales University.

You Have
a Challenge? Let’s talk.

We’d love to connect with you.

Looking to learn more about our innovative solutions and capabilities? Our team of experts is ready to assist you. Reach out today and let’s starts a conversation about how we can help you achieve your goals.

Let’s Talk

"*" indicates required fields

This field is for validation purposes and should be left unchanged.

As artificial intelligence (AI), high-performance computing (HPC), and advanced graphics processing continue to push the limits of memory throughput, the demand for high-bandwidth memory (HBM) has surged. These applications require faster access to massive datasets, driving the need for memory solutions that can deliver both speed and density without compromising power efficiency. HBM addresses this by stacking memory dies vertically and connecting them with ultra-fast interconnects. However, as data rates climb, traditional bump technologies—long relied upon as the primary interconnect method—are reaching their physical and performance limits.

Today, we stand at a pivotal moment in HBM interconnect technology. Hybrid bonding has emerged as one of the most talked-about technologies in advanced packaging. Promising finer pitches and superior electrical performance, hybrid bonding is generating excitement for its potential in high-performance applications. On the other hand, innovations in bump scaling are making bumps increasingly viable for a broad range of applications, beyond legacy and cost-sensitive applications. Despite these advancements, scaling bump pitch beyond 10μm and toward 2μm presents significant challenges. Maintaining uniformity and controlling bump height variation becomes more difficult, impacting yield and reliability. Meanwhile, taller HBM stacks, from 8-high to 24-high, require thinner dies, increasing the risk of die warpage and cracks during dicing.

Hybrid bonding is not without its challenges, too. At this scale, direct bonding demands plating uniformity and surface cleanliness to ensure reliable interconnects. In this article, we will examine the challenges facing bump and hybrid bonding technologies, the solutions these two technologies enable, and how they stack up compared to each other. We also will highlight how manufacturability, reliability, and process control evolve as pitches shrink and stack heights increase. To start with, we focus our attention on bumps, and then move on to hybrid bonding.

Bump metrology evolution

Microbumps play a critical role in enabling vertically-stacked HBM structures by serving as interconnects between dies, and dies to interposers or substrates. These bumps need to be uniform in height, properly aligned, and defect free (Figure 1).

Figure 1. Interconnect challenges in microbump and hybrid bonding technologies.

 

Inconsistent bump height in HBM can result from plating nonuniformity and process variability, and it negatively affects yield, reliability, and performance. Meanwhile, poor coplanarity can lead to mechanical stress, interconnect fatigue, or thermal cycling failures, while inconsistent contact can degrade signal integrity and power delivery. Misalignment during flip-chip bonding can result in open or short circuits. Given the breadth of these challenges, manufacturers should focus on identifying issues after the plating step and before the reflow step. After all, if you have a plating problem but move onto reflow, it will be too late to fix the problem.

With the number of layers in an HBM stack continuing to rise, addressing die warpage becomes even more essential (Figure 2). First of all, die warpage significantly compromises stack alignment and bonding quality. This is especially critical given the extremely tight tolerances of HBM. In addition, warpage can also result in voids, opens, and a host of other issues leading to electrical failures, mechanical stress and cracking, yield loss, and thermal performance degradation, resulting in overheating and reduced performance. Meanwhile, organic residue can result in surface contamination, voids and delamination, oxidation and corrosion, and diminished yield and reliability.

Figure 2: Die warpage measurements.

Properly identifying cracks and alignment errors in bumps poses another challenge (Figure 3). Cracks often occur during the dicing and backside grinding process and can break the electrical path, leading to open circuits. They often propagate due to thermal cycling, especially in materials with different coefficients of thermal expansion (CTE), weakening the bump structure. Even if initially functional, cracked bumps are prone to failure under thermal or mechanical stress during operation.

Misalignment of dies is another problem. It is often caused during the pick and place step due to the lack of backside patterns. This lack of patterning makes it difficult to tell if overlay is accurate—this is something with which automated optical inspection systems struggle.

Figure 3: Organic residue post-die sawing and debonding impacts yield.
Rise of hybrid bonding

The key reason hybrid bonding has emerged as a new technology for HBM is simple: improved interconnect density and smaller package sizes. To begin with, hybrid bonding enables finer interconnect pitches, less than 10μm, allowing for more I/O terminals in a smaller area. This increased density translates directly into higher bandwidth and improved overall performance.

Traditional bump-based stacking introduces gaps of about 30μm between dies. Hybrid bonding offers direct Cu-to-Cu connections, thereby achieving near-zero spacing between dies, significantly reducing overall package thickness and offering lower resistance and better thermal conductivity than bump-based methods. This improves signal integrity, reduces power consumption, and enhances heat dissipation, all of which are critical for HBM.

Finally, hybrid bonding supports several configurations: wafer-to-wafer, die-to-wafer, and die-to-die, offering flexibility in manufacturing and integration. This adaptability is vital for scaling HBM technologies across different applications and performance tiers.

With our introduction to bumps and hybrid bonding complete, we now turn to a comparative discussion of these two technologies, building on earlier points and exploring new ones.

Bump vs. hybrid bonding

Bumps have several advantages over hybrid bonding. As a mature technology, they are widely used and well understood in the industry, are compatible with existing flip-chip and underfill processes, and are scalable for moderate density. The cost of bump technologies is lower than hybrid bonding technologies. Bumps, however, have a number of disadvantages compared to hybrid bonding. The most significant of which are the pitch limitations of bump technologies, which struggle at pitches below 10µm due to challenges in plating uniformity and solder reflow. Bumps also require underfill, which can introduce stress and complicate thermal management.

Despite the limitations noted above, bump technology continues to evolve. Leading suppliers of bump plating systems project a continued downscaling of bump dimensions, with diameters decreasing to the 4µm-5µm range and heights dropping as low as 1µm to 2µm. At a 10µm pitch, the lateral footprint remains sufficient to support the high-density I/O requirements of advanced memory architectures. Concurrently, the reduced bump height enables vertical integration of up to 16 stacked HBM dies within the 775µm maximum package height defined by Joint Electron Device Engineering Council (JEDEC) standards. Consequently, bump interconnects remain a viable and scalable solution for next-generation HBM, and major manufacturers are maintaining substantial R&D investments in bump technology.

Hybrid bonding, while promising, presents its own set of challenges. In addition to being more expensive than traditional bump technologies, hybrid bonding may require manufacturers switching from bump technology to purchase new equipment and adopt new process flows. In addition, hybrid bonding is especially sensitive to particles and organic residues; for example, even 1µm particles can cause defects. Such residues can prevent proper contact, trap gases or moisture, and lead to void formation during bonding. These voids can cause delamination or incomplete bonding, reducing mechanical integrity and electrical continuity.

Interconnect solutions

Process control for hybrid bonding is challenging, but these obstacles can be addressed by employing a suite of advanced metrology and inspection technologies, as well as analytic software solutions.

High-speed, sub-micron inspection can be used to detect surface anomalies such as particles, residues, and backside and edge defects (Figure 4). This ensures that bonding surfaces are clean and defect-free before the bonding process begins. As for overlay misalignment, the capability to measure wafer topography and alignment with sub-micron precision enables accurate die placement and reduces the risk of misalignment during bonding. As for voids, a non-contact, immersion-free acoustic metrology technology capable of detecting voids down to 1µm is effective at identifying bonding defects that could lead to electrical or thermal failures. Furthermore, a sub-micron inspection system can be used to detect defects like cracks and delamination caused by thermal or mechanical stress.

 

Figure 4: Hybrid bonding metrology and inspection challenges.

In the case of bump-based interconnects, the ability to measure Cu-to-Cu bump height down to 1.5μm will be key. However, several of today’s technologies employ white light illumination technologies that are unable to properly identify defects on these exceptionally small Cu pillars before reflow (Figure 5). After reflow, the bump shape is very clean and very smooth; but before reflow and after plating, the Cu is very rough. Typically, when white light hits a very rough surface, the light randomly scatters. However, an inspection system that uses a coherent wavelength laser technology can overcome this challenge.

In addition to the points noted above, an integrated system using analytical software can be used to detect errors and provide real-time defect analysis and statistical process control. Software can be used to provide in-line process control insights about defects and offer actionable corrections and is capable of handling millions of bumps per wafer, with each bump generating multiple data points. This integration helps manufacturers trace contamination sources and optimize cleaning steps, improving bonding reliability.

Figure 5: a) Plating uniformity impacts coplanarity after reflow. Coherent laser technology overcomes roughness of the top surface, thereby overcoming the scattering noise that occurs when white light is used; and b) Wafer-to-wafer variation monitoring.
Summary

New technologies are actively being adopted for interconnect control in HBM. In the case of bump process control, the sector is moving toward smaller dimensions, 12µm to 4µm in high-volume manufacturing and below 2µm in R&D. Meanwhile, hybrid bonding is gaining traction due to its ability to support higher interconnect density and performance. This shift is driving the adoption of advanced inspection and metrology techniques, including an opto-acoustic void detection technology capable of detecting voids down to 1µm—a critical point for yield in HBM production.

Foundries are integrating bump inspection and metrology tools into their advanced packaging lines, especially for custom HBM and logic solutions. At the same time, hybrid bonding adoption is accelerating, particularly in the case of chiplet-based and heterogeneous integration strategies.

This year, R&D is expected to push microbump pitch to 6µm and hybrid bonding density to 60 interconnects/mm². In the coming years, microbump pitch may scale down to 3µm, while hybrid bonding density could reach 100 interconnects/mm². Long term, hybrid bonding is projected to become a mainstream interconnect technology, surpassing microbumps in many advanced applications, with densities reaching 150 interconnects/mm² and microbump pitch shrinking to 1.5µm.

As it stands today, there is no single solution for all HBM use cases. Instead, manufacturers may adopt an approach leveraging both bump and hybrid bonding, supported by a comprehensive process control strategy that integrates metrology, inspection, and advanced analytics.

Biographies

Damon Tsai is the Senior Director of Product Marketing, Inspection, at Onto Innovation, Milpitas, California. He joined the company in 2018 and has extensive experience in inspection and metrology, with a specialized focus on semiconductor FEOL, advanced packaging, OSAT, and specialty markets like RF, Power, and CIS.

Woo Young Han is Product Marketing Director, Inspection, at Onto Innovation, Richardson, Texas. He joined Onto Innovation in 2000 and holds an Electrical Engineering degree from the University of Toronto.

You Have
a Challenge? Let’s talk.

We’d love to connect with you.

Looking to learn more about our innovative solutions and capabilities? Our team of experts is ready to assist you. Reach out today and let’s starts a conversation about how we can help you achieve your goals.

Let’s Talk

"*" indicates required fields

This field is for validation purposes and should be left unchanged.

At some point in our lives, we have dropped a drinking glass or knocked over a glass-blown knickknack, only to watch it hit the floor and shatter into pieces. We learn from any early age that glass is fragile. But if glass is so fragile, why are manufacturers adopting glass core substrates?

Good question. And one that comes with a ready answer.

Glass is able to meet the new, denser line-space specifications—1.5µm and below—for interconnects that advanced logic nodes and advanced packages require. Two, glass core is better suited for large package sizes than organic substrates (Figure 1). And contrary to what those outside the semiconductor industry may think, glass substrate offers superior mechanical strength compared to its organic counterpart.

As it stands today, organic substrates will remain viable for advanced packages, but in the near future glass substrates might emerge as the preferred substrate for high-performance applications (Figure 1).

Figure 1: Roadmap for organic and glass core substrates

 

Through glass vias (TGVs) are the critical vertical electrical connections that pass through a glass substrate, and they require ultra-precise processing. Unfortunately, each step opens the process to a host of potential defects. Cracks, in particular, are problematic. A small crack early in the process has the potential to grow into a much larger, “killer” defect later in the manufacturing process that affects the performance and reliability of the end product.

These challenges are not limited to cracks, however. The position accuracy of TGVs is vital to ensure reliable electrical connections between the front and back of the glass substrate. Even slight misalignments can lead to signal integrity issues or device failure. In addition, the shape and size of the vias are another area of concern; as a result, the critical dimensions (CD) of these vias must be tightly controlled. The relationship between the top, bottom, and waist diameters of a TGV determines the taper angle and profile of the via. If the sidewall is too steep or reentrant (narrower at the bottom), it can affect the plating process, leading to incomplete metal-filled vias or voids, impacting the electrical signal performance and reliability of the final device.

As glass core substrates are growing in adoption, the TGV process is being rapidly developed, with process control challenges spanning the entire process. Proper process control includes ensuring the cleanliness of the incoming bare glass, determining the thickness uniformity of the glass, and measuring critical dimensions after each process step, from laser modification and chemical etching to metal filling. Control for each of these is critical to maintaining the integrity of the final product and optimizing yields.

In this blog we will explore how a combination of metrology and inspection tools, along with software analytics, can be used to help develop TGVs, beginning with the inspection of the bare glass, continuing with measuring the thickness of the glass, and following with measuring the CD of the vias themselves.

 

Bare Glass, Glass Thickness, and Critical Dimensions

Before the TGV fabrication process even starts, it is important make sure the glass panel is free of defects (Figure 2). After all, you do not want to start off with a panel that already has an inclusion defect or crack. By using laser-based scanning and imaging optics and sensing technologies, manufacturers can reliably inspect bare glass for nanometer-sized defects, like particles, scratches, pits, and stains.

Figure 2: Glass panel with TGV.

It is also necessary to measure the thickness of the glass before starting the TGV process. Thickness uniformity across the glass panel is key. Consider this example: let us say that the thickness of a glass panel is 400µm at one end of the panel and 300µm at the other end. While this is an extreme example, you can imagine the effect that this might have on TGV height across the panel and the disastrous effect it would have on the performance of any device made from this glass substrate.

While inspecting the glass and measuring glass thickness before manufacturing begins is of considerable importance, there are a number of specific process steps throughout the TGV fabrication and metallization process where CD metrology and defect inspection are vital.

After the laser modification and etching process, manufacturers need to measure CD at the top of the via, the waist of the via, and bottom of the via;. A high-resolution, high-throughput optical panel inspection and metrology system can be used to measure these parameters for every single TGV on the panel, enabling precise monitoring of the etching process. In addition, the ability to detect defects such as incomplete etching, microcracks along individual TGV, larger cracks between multiple TGVs, and dimples and dents on the glass surface are crucial for process optimization.

In the metallization and planarization step, inspection systems can continue to monitor for defects such as residues, over/under plating, excess/insufficient polishing, and surface roughness, each of which could affect the overall electrical performance.

With millions of TGV on a panel, the ability to analyze a large quantity of inspection and metrology data within a short time period is a significant capability. The use of yield management software can shorten the time for analysis, identify systematic defects, and correlate process parameters with yield outcomes.

Conclusion

The adoption of glass core for IC substrate is currently at the starting point of what could be considerable market growth. By 2030 glass core substrate revenue is projected to grow to $275 million, according to best case scenario results from the . With the right tools on hand, manufacturers will be equipped to meet the rising demand for glass core substrates.

However, unlocking the full potential of glass core substrates and TGVs are not just about having tools; it is about using them in concert to build a process that is robust, repeatable, and yield optimized. As the adoption of glass as a substrate accelerates, manufacturers that invest in comprehensive process insight will be the ones that lead.

Monita Pau is Strategic and Product Marketing Director, Advanced Packaging, at Onto Innovation.

You Have
a Challenge? Let’s talk.

We’d love to connect with you.

Looking to learn more about our innovative solutions and capabilities? Our team of experts is ready to assist you. Reach out today and let’s starts a conversation about how we can help you achieve your goals.

Let’s Talk

"*" indicates required fields

This field is for validation purposes and should be left unchanged.

AI data centers are pushing for higher density in high-bandwidth memory. Today, the maximum number of layers that can be stacked is 8, but that increases to as many as 24 layers by 2030. The big challenge will be in the interconnects, and making sure the microbumps align. At 16 layers, the bump pitch will be less than 10 microns, and the dies will be thinner. Damon Tsai, head of product marketing for inspection products at Onto Innovation, talks with Semiconductor Engineering about how to reduce stress that can cause warpage, how HBM architectures will need to change, and what happens when hybrid bonding and co-packaged optics are added into these devices.

deco-bg-1 deco-bg-2

You Have
a Challenge? Let’s talk.

We’d love to connect with you.

Looking to learn more about our innovative solutions and capabilities? Our team of experts is ready to assist you. Reach out today and let’s starts a conversation about how we can help you achieve your goals.

Let’s Talk

"*" indicates required fields

This field is for validation purposes and should be left unchanged.