
OCD Solutions
A suite of OCD modeling software and computing hardware that enables the full capability and connectivity across all Onto OCD and thin film metrology systems, including Atlas, Aspect, Iris and IMPULSE systems.

Product Overview
Onto Innovation’s OCD technology offers powerful modeling and computing packages to support various phases of film and OCD measurement setup, data management, and fleet management. These capabilities include model building, runtime data analysis, system calibration, data analytics, data connectivity and management, spectrum management and fleet matching.
Onto OCD solutions consist of several modeling and computing components, including Ai Diffract™ modeling software, runtime onboard computer, offline modeler, offline model building clusters, and recipe & data management server. Each component seamlessly extends OCD capabilities to Onto’s standalone and integrated metrology systems, providing end-to-end capabilities from offline recipe support and development to fab-wide networking and connectivity for easy fleet management.
Learn more about each component below.
Featured Markets
Ai Diffract™ Software
AI-guided OCD modeling and analysis software for high accuracy in-line optical metrology and offline recipe development
Ai Diffract software is a powerful modeling, visualization and analysis software with an intuitive 3D modeling interface to simplify the building and visualization of today’s most complex semiconductor devices. It offers OCD modeling and advanced machine learning capabilities, next-generation real-time regression, offline sensitivity analysis tools and comprehensive GUI and structure input for true multi-variant modeling. Ai Diffract software’s proprietary fitting algorithms enable fast and accurate calculations for signal processing, helping ensure high fidelity model-based measurements. Automation features for spectral fitting, recipe optimization, and sensitivity analysis offer great user productivity. The first-in-market AI-guided engine synergizes physics-based modeling and machine learning to deliver the most robust solution with quick time to solution.
Ai Diffract Modeler is the offline analytical engine that allows users to create and edit recipes offline. It supports multiple users and can connect to Ai Diffract cluster for high intensity computing.
Ai Diffract Onboard is the on-tool runtime engine that maximizes tool throughput for complex use cases. It ensures rapid analysis without interfering with system operation or impacting throughput.
Ai Diffract Cluster is an enterprise scale computing server deployed for offline recipe development or in-line real-time regression. Optimized to support the workload of Ai Diffract software analysis, it scales based on fleet size, recipe numbers, and computing intensity.
Recipe Distribution Server (RDS) / Nexus Servers is a fab-wide networking and server system for fleet management and connectivity. RDS/Nexus servers provide connectivity and support to Ai Diffract recipe management and distribution, data/spectrum feed-forward and feedback, spectrum management, and fleet management.

Do you have an OCD solutions question? Let’s talk!
As your partner for innovative solutions, we’re always here for you.
Discover how our cutting-edge semiconductor solutions are engineered to meet your most complex challenges: delivering performance, reliability and innovation where it matters most.
Let’s Talk
"*" indicates required fields
Iris™ G2 System
The Iris G2 system is an advanced optical metrology tool for both common and critical thin films for advanced node, mature and specialty devices, enabling manufacturers to increase transistor speed, reduce power consumption and improve reliability.

Product Overview
As semiconductor device technologies become increasingly complex, requiring thinner and more uniform films, the need for a stable, all-in-one thin film metrology solution becomes a necessity. By controlling the quality and effective thickness of gate films, the Iris G2 system supports critical device scaling and performance improvements.
For ultra-thin multilayer films measuring 10Å to 50Å, the Iris G2 system features deep ultraviolet (DUV) spectroscopic ellipsometry (SE) for common films and coupled proprietary laser ellipsometry technologies for critical films, providing customers with the flexibility to optimize cost of ownership, better stability, and ease of operation in a versatile tool for specialty, mature and advanced logic and memory processes.
Additionally, a single platform simplifies fleet management and fab operations while reducing overall capital investment.
Applications
- Critical film measurement
- Common film measurement
- 2D and 3D OCD measurement
- Wafer bow, warp, and film stress
Intelligent Line Monitoring & Control with Integrated Metrology
Enhance CMP process control with a connected metrology approach that feeds forward data from standalone OCD or films metrology to integrated metrology, connected through AI-driven analytical software. This approach, powered by advanced modeling and analysis tools, can deliver high-precision, high-throughput results—minimizing or eliminating the need for and cost of new TEM data and enabling accelerated time to solution, faster excursion detection, reduced cost and improved Cpk.

Enhancing CMP Process Control with Intelligent Line Monitoring & Integrated Metrology
As semiconductor manufacturers push the boundaries of performance and functionality—driven by high-performance computing and AI applications—chemical mechanical planarization (CMP) processes increase in intensity complexity. New logic transistor designs, 3D NAND stacking, and DRAM integration introduce more CMP layers and tighter process windows.

Do you have an Iris G2 system question? Let’s talk!
As your partner for innovative solutions, we’re always here for you.
Discover how our cutting-edge semiconductor solutions are engineered to meet your most complex challenges: delivering performance, reliability and innovation where it matters most.
Let’s Talk
"*" indicates required fields
IMPULSE® V System
The IMPULSE V system helps to advance CMP processing with enhanced wavefront technology and AI-driven analytics, delivering over 2X precision improvement and faster solutions, crucial for next-gen semiconductor manufacturing demands.

Product Overview
As wafer uniformity requirements tighten and vertical scaling increases, the need for CMP processing grows across market segments. Logic introduces new transistor designs and materials, DRAM incorporates new materials and process steps to planarize, and 3D NAND adds more decks, stacks and tiers.
The IMPULSE V system, available as an integrated or standalone platform, enhances film measurement fidelity and productivity for the CMP process module. With deep ultraviolet (DUV) optics and AI-driven machine analytics derived from our Atlas® platform, it works in conjunction with the Atlas® platform to provide CMP process engineers the capability to manage excursions and drive process improvement (Cpk.).
Advanced wavefront technology suppresses previous layer noise in complex structures. It provides feedback to improve long term repeatability. Designed for higher sampling, in-die/on-device and wafer edge measurements, the system offers improved reliability, higher throughput and over 2X precision improvement compared to the previous generation. Onboard AI-driven machine learning uses a signal-to-noise ratio for faster time-to-solution, addressing layers that were previously challenging to measure.
Applications
- CMP
Intelligent Line Monitoring & Control with Integrated Metrology
Enhance CMP process control with a connected metrology approach that feeds forward data from standalone OCD or films metrology to integrated metrology, connected through AI-driven analytical software. This approach, powered by advanced modeling and analysis tools, can deliver high-precision, high-throughput results—minimizing or eliminating the need for and cost of new TEM data and enabling accelerated time to solution, faster excursion detection, reduced cost and improved Cpk.

Enhancing CMP Process Control with Intelligent Line Monitoring & Integrated Metrology
As semiconductor manufacturers push the boundaries of performance and functionality—driven by high-performance computing and AI applications—chemical mechanical planarization (CMP) processes increase in intensity complexity. New logic transistor designs, 3D NAND stacking, and DRAM integration introduce more CMP layers and tighter process windows.
Hybrid Bonding Process Control Solution
Hybrid bonding enables ultra-dense 3D memory interconnects with up to 1,000x more connections than microbumps. Achieving high yield requires stringent process control, including monitoring topography and detecting particles, cracks and voids. Measuring dishing in copper pads provides valuable insight into surface conditions. Together, these process control insights contribute to improved device reliability and performance.

Enabling In-Line Process Control for Hybrid Bonding Applications
As demand grows for high-performance computing (HPC) and AI-driven applications, manufacturers are turning to hybrid bonding to enable the ultra-dense 3D integration required for next-generation chip architectures. This advanced packaging technology presents significant process challenges. Surface preparation must be precisely controlled to eliminate particles, excess recess, and copper pad dishing, all of which can compromise bond quality. During pre-annealing, particle-induced gaps and wide bonding gaps can prevent proper wafer contact. Post-annealing, the formation of dielectric and metal voids introduces further risks to electrical performance and long-term reliability.

Do you have an IMPULSE V system question? Let’s talk!
As your partner for innovative solutions, we’re always here for you.
Discover how our cutting-edge semiconductor solutions are engineered to meet your most complex challenges: delivering performance, reliability and innovation where it matters most.
Let’s Talk
"*" indicates required fields
Element™ G2 System
The Element G2 system is a high-speed epitaxial layer metrology and wafer/film composition control system for wafer makers, advanced logic, DRAM and 3D NAND.

Product Overview
The Element G2 system is designed for wafer suppliers to perform high speed impurity mapping and epi thickness measurements. It combines transmission and reflection-based technology and when paired with advanced IR modeling capability, it offers a unique approach to dielectric composition and film thickness monitoring. With improved sensitivity and cutting-edge algorithms, the Element G2 system is a critical metrology tool widely used for monitoring dielectrics such as BPSG, FSG, H in SiN, and more. Machine learning helps eliminate the need for monitor wafers in dielectric measurement.
The system features a crisp 2mm circular IR spot, a high sensitivity interferometer and advanced pattern recognition. Equipped with a Class 1, five-axis dual-arm edge grip wafer handling system, the Element G2 system delivers high precision and high throughput, even at the edge of the wafer.
Applications
- Multi layer Epi layer, transition zone thickness and substrate concentration
- Power device EPI and buffer layer
- BPSG, FSG, SiN, HSQ, SiON, SiCN, SiOC
- Multiple IR peak metrics
- Interstitial oxygen and substitutional carbon
- Oxygen dose and Oxygen precipitate
Featured Markets

Do you have an Element G2 question? Let’s talk!
As your partner for innovative solutions, we’re always here for you.
Discover how our cutting-edge semiconductor solutions are engineered to meet your most complex challenges: delivering performance, reliability and innovation where it matters most.
Let’s Talk
"*" indicates required fields
Echo™ System
The Echo system utilizes picosecond ultrasonics to provide accurate, in-line, on-product metrology and materials characterization for semiconductor processes requiring metal thickness metrology, implant monitoring or thermal conductivity characterization on product wafers.

Product Overview
The Echo system is a comprehensive in-line metal film metrology tool designed for single and multi-layer metal film measurements in leading-edge logic, memory, advanced packaging and specialty semiconductor devices. Its innovative optics design extends the dynamic range for film thickness measurement from 50Å to 35µm on a single platform and offers extendibility to measure high aspect ratio advanced 3D NAND structures. The Expert Applications System (EASy™) software provides flexibility for developing user-defined algorithms to model complex multi-layer stacks.
The Echo system’s capabilities have been extended to include materials characterization. In addition to measuring the Young’s Modulus of low-k dielectric films in BEOL and amorphous carbon hard masks in 3D NAND, the Echo system includes proprietary electronics and algorithms for implant monitoring and thermal conductivity characterization. Its small spot size, combined with rapid measurements, enables full wafer mapping capabilities with 0.5mm edge exclusion, improving time to yield.
Applications
- Gate metals, plug/contact, barrier/seed layers, top metal
- RF electrode/IDT
- Advanced packaging UBM, RDL
- 3D NAND Hard mask
- MEMS Poly/Ge
- Low-k, ultra low-k film modulus, implant, thermal conductivity

Do you have an Echo system question? Let’s talk!
As your partner for innovative solutions, we’re always here for you.
Discover how our cutting-edge semiconductor solutions are engineered to meet your most complex challenges: delivering performance, reliability and innovation where it matters most.
Let’s Talk
"*" indicates required fields
Atlas® V System
The Atlas V system is an OCD and thin film metrology tool for high volume manufacturing, enabling FinFET & Gate-All-Around (GAA) logic, DRAM, and 3D NAND device process control.

Product Overview
The Atlas V system combines state of the art optical design with an innovative Ai Diffract™ modeling engine, providing OCD and thin film metrology solutions for measuring complex 3D device structures. The dual channel optical architecture consists of a DUV 4×4 Mueller Matrix (MM) SE and a DUV polarized normal incident reflectometer (NIOCD). Together, they provide rich information for OCD analysis at high precision and high throughput. The Atlas V system is designed to measure the most critical and challenging process steps that include buried features, not visible by CD-SEM and other techniques.
With unique capabilities, such as measuring individual nanowire dimension in a GAA logic device, the Atlas V system is an enabling technology for leading edge device process control.
Applications
- OCD for litho, etch, CVD & CMP process in all device segments
- Local variation
- Asymmetry and tilt
- Common and critical films
- Stress & wafer warpage
Intelligent Line Monitoring & Control with Integrated Metrology
Enhance CMP process control with a connected metrology approach that feeds forward data from standalone OCD or films metrology to integrated metrology, connected through AI-driven analytical software. This approach, powered by advanced modeling and analysis tools, can deliver high-precision, high-throughput results—minimizing or eliminating the need for and cost of new TEM data and enabling accelerated time to solution, faster excursion detection, reduced cost and improved Cpk.

Enhancing CMP Process Control with Intelligent Line Monitoring & Integrated Metrology
As semiconductor manufacturers push the boundaries of performance and functionality—driven by high-performance computing and AI applications—chemical mechanical planarization (CMP) processes increase in intensity complexity. New logic transistor designs, 3D NAND stacking, and DRAM integration introduce more CMP layers and tighter process windows.

Do you have an Atlas V system question? Let’s talk!
As your partner for innovative solutions, we’re always here for you.
Discover how our cutting-edge semiconductor solutions are engineered to meet your most complex challenges: delivering performance, reliability and innovation where it matters most.
Let’s Talk
"*" indicates required fields